summaryrefslogblamecommitdiff
path: root/nightly/buildwindows.sh
blob: ecd7a35f9227b7f62570c9e320a01ce3bcde236b (plain) (tree)
1
2
3
4
5
6
7
8
9
10
11





                                           
                                                                           



                           

                   



                           


                      




                           





                                                       
#!/bin/bash

dir=`pwd`

cd ..
export PATH=/usr/i586-mingw32msvc/bin:$PATH
CXXFLAGS="-Wall -g0 -O3" cmake -DCMAKE_TOOLCHAIN_FILE=./toolchain.nightly .
result=$?
if [ "$result" != 0 ]; then
    exit $result
fi

make -j5 2>make.log
result=$?
if [ "$result" != 0 ]; then
    exit $result
fi

cd ./packaging/windows
./make-translations.sh
result=$?
if [ "$result" != 0 ]; then
    exit $result
fi

makensis -DDLLDIR=$dir/../packaging/windows/libs/dll/ \
    -DPRODUCT_VERSION="nightly-$1" \
    -DEXESUFFIX=/src \
    -DUPX=true \
    setup.nsi